• No se han encontrado resultados

Tutorial Mentor AMIC5

N/A
N/A
Protected

Academic year: 2020

Share "Tutorial Mentor AMIC5"

Copied!
43
0
0

Texto completo

(1)

Using Mentor Graphics

AMI 0.5u

Mixed

Design Kit

(2)

Table of Contents

Table of Contents ………. 2

Using the Design Kit …..……….. 3

Software Requirements ……….……….……… 3

Prerequisites ………...………… 4

Installation and Setup the Design Kit …...……… 4

Creating a Project ……….. 6

Creating a Library ……….. 11

Creating a Schematic Cell View………....12

Simulating Schematic……….... 27

Creating a Layout Cell View………. 34

(3)

3

Using the Design Kit

The Design Kit is designed to provide an introduction to the analog design flow using the Mentor Graphics Custom IC Design products, which include ICStudio, Design Architect-IC and the ICgraph Suite of tools. It includes all the necessary items to guide you through the process of creating a schematic, setting up a testbench, simulating a design and laying out that design.

With the lessons that follow you will also become familiar with the analog design flow into the EldoTM simulation product using the ICNet netlister. The Eldo simulator offers numerous

simulation and modeling options for SPICE- level simulations. Its unique "divide and conquer" partitioning scheme allows use of different algorithms on differing portions of a design, yielding high-accuracy in combination with high performance.

ICStudio manages the flow. It calls the Front End and Back End tools. The tools load the userware of this kit. The userware is designed in a way that enables you to use the tools efficiently. The dialog boxes give you the option to enter the device properties in different combinations. For example, you can enter two parameters of (R, W and L) of a resistor, and the dialog box will calculate for you the third value. In addition you can check the entered and calculated values for each device to avoid out of range values.

Software Requirements

1. Design Architect – IC (IC Flow 2004.2s) version 8.9_16.1 or greater. (To check the ver-sion, enter the da_ic -ver command.)

2. Eldo version 6.3_3.1 or greater. (To check the version, enter the $anacad/bin/eldo -rel

command.)

3. ICgraph version (IC Flow 2004.2s) 8.9_15.1 or greater. (To check the version, enter the

(4)

Prerequisites

1. You must have MGC_HOME pointing to a valid installed Mentor Graphics Flow 2004.2s tree or a more recent release

2. You must have the Anacad software installed on your network and accessible via $anacad.

Installation and Setup the Design Kit

Step 1 Un-tar the file:

In a shell, type: gtar –xvzf AMI17march05.sh.tar.gz

Step 2 Open all access rights to the design kit install file by doing the following: In a shell, go to the directory where the design kit has been downloaded. Type chmod 777 (your design kit name).

An example would be chmod 777 AMI17march05.sh.

Step 3 Type AMI17march05.sh.

You will be prompted to enter the name of the directory where you would like the design kit to be installed. A valid path must be given.

If the sub-directory in the given path exists, the installed files will be placed under it.

If the given sub-directory does not exist, it will be created during the install.

If an incorrect type or the full path is not given, an error message will appear and the install will not occur.

When the design kit is finished loading correctly, the prompt Install of Design Kit is complete will appear.

An option to look at the readme file is also given at this time. If you choose to read the readme file type y.

(5)

5 Step 4 View the installed design kit directories.

Go into the installed design kit directory then list it by typing ls. The following sub-directories will be listed.

docs - This directory is where the User Guide of the Kit exists.

models - This directory is where the Eldo models exist.

process - This directory contains the design kit’s process definition files.

rule_deck - This directory contains foundry Calibre rule files.

symbols - This directory contains all the kit symbols.

(6)

Creating a Project

Step 1 To invoke ICStudio do the following:

Open ICStudio by typing the command icstudio &

The ICStudio interface will be invoked and appear on the screen

Menu Bar and Icons Area

Libraries Area

Cells Area

(7)

7

Step 2 To create a project click on File > New > Project from the menu bar. The New Projectsetup wizard will open to help you in creating the new project. Press Next

to proceed with the wizard.

Step 3 Enter the project name and browse for the project location and then press Next.

(8)

Step 5 Select Edit Menu followed by Add MGC Design Kit. This will add a row with a library name MGC_DESIGN_KIT. Browse for the kit installation directory in the location area.

Step 6 To add the standard libraries, select Edit Menu, and then select Add Standard MGC Libraries.

(9)

9

Step 8 This will move you on to the Technology Settings. Press Open Setting Editor

to set the technology settings.

Step 9 Browse for the paths of the Process file, DRC, LVS, SDL and PEX rules files, then go to the Miscellaneous tab and set the Ample Path to

$MGC_DESIGN_KIT/userware

(10)

Step 10 Press OK to return back to the main wizard. A summary of all the previous steps will then be shown.

(11)

11

Creating a Library

(12)

Creating a Schematic Cell View

Step 1 To create a schematic cell click on File > New > View from the menu bar. A window will pop-up asking for the cell name, and view type. Let the cell name be

inverter and choose Schematic as view type, the view name will be named automatically Schematic.

Step 2 By Pressing Finish this will open the Design-Architect IC for you.

Workspace

Palette

Left Icon Palette

(13)

13

The graphic interface is divided into four main sections – a menu bar, a workspace, and a palette.

The menu bar and palette change to reflect the design task you select – laying out a schematic, setting up a testbench, or simulating a design. [As you are working with your design you will notice that the gray bar below the menu (the Info bar) contains information that changes dynamically as you go about your tasks.] The workspace provides a snap to grid for manipulating primitive-level components or higher level symbols.

Function key shortcuts

Function key shortcuts provide quick one- or two-button access to common tasks like Copy, Paste, Select All, Unselect All. The function keys work in conjunction with the Shift, Control and Alt keys to provide up to four separate actions per key. To display function key shortcuts select MGC > Setup > Session and select Show Softkey Area.

Strokes with middle mouse button

Design Architect–IC provides a number of shortcuts to common actions by utilizing the middle mouse button. While holding down the middle mouse button you can make simple, alphabet-like strokes in the workspace to quickly copy, move, zoom in or out, view an area or view all, delete or undo, unselect all and flip horizontally... to name just a few. The

(14)

In the following sections, actions that can be simplified with strokes will be presented with the appropriate stroke in the left margin, as shown here, or within the paragraph.

Hot keys

Hot keys also provide shortcuts to a lot of functions. To get the complete list of hot key select Setup> Hotkeys > Report.

Creating a Schematic

In this section you will become familiar with placing primitive analog devices for a inverter. You’ll learn how to:

• place primitives on the schematic • select and manipulate devices

• customizing hotkeys for placing devices • route devices

• edit device parameter values • name instances

• check and save the schematic • create upper hierarchical symbols • create test bench

• simulate using eldo • view results

(15)

15

Creating an Inverter

Placing Devices

Step 1 From the right palette press on Library This will update the right palette to show the following

(16)

Step 3 The dialog box will be called again once you place the transistor. Select now the

PMOS then select p OK and place the pmos.

(17)

17

Adding Ports and Connecting the Devices

Step 1 Ports could be added by pressing on Generic Lib. From the Generic Lib palette select the VDD and place it. Do the same for the Ground port.

Pressing the hot key i will call the Add Instance window. Select the

(18)

Step 2 Place the IN and OUT ports in a similar way.

Step 3 Place the cursor over the NET on the left of the IN port. Select Shift-F7 key. This will bring up a form as shown below. Enter IN for the net name.

Step 4 Connect the devices by dragging the mouse from the pin of the device. You can also use the hot key w. You can use the Add > Wire from the right palette, or by clicking the right mouse button in the workspace and selecting Wire from the ADD

(19)

19

Step 5 Do the same for the OUT net. The schematic should now look as follows.

(20)

Changing Parameter Values

Your schematic is now complete and you will now need to create a testbench for simulation. You can manipulate devices and change device parameters by the following method that is described below. If you are satisfied with the devices you may skip to the section entitled generating a Symbol to continue.

Change Device Parameters

By selecting the device you want to change its parameters, then selecting the mouse right button this menu will appear. SelectDevice Props and a menu like the one that appeared when instantiating the device will appear and you can change the parameters you want. By using this feature, you guarantee to use the allowed Parameters’ values and update the device with the new values.

Selecting and manipulating instances

Device can be selected with the left mouse button or by pressing the F1 function key while the cursor is over the device. With the Edit section of the schematic_edit palette you can

(21)

21

The Flip Horizontal and Rotate strokes allow you to quickly manipulate

selected devices as do the f and r hotkeys. Unselect a device with the Unselect All stroke or by pressing the F2 key

Generating a Symbol

Step 1 Select Tools > Generate Symbol from the pull down menu.

(22)

Step 3 You can select Circle from the symbol draw menu to add a circle to the inverter symbol.

(23)

23

Creating a Testbench

Step 1 Go back to ICStudio. Notice that now the created lib contains a cell named

(24)

Step 2 Now instantiate the new inverter symbol by selecting Add > Instance from the

Schematic Edit Palette menu or pressing the hot key i. Select the Symbol view of the inverter cell.

Step 3 Add the IN and OUT net as before by selecting the hot key i. Name the nets with

shift-F7 function key.

Step 4 Add VDD and Ground ports in a similar fashion.

Step 5 Add a DC voltage source dc_v_source, from the MGC_IC_SOURCES_LIB. Change the value of the DC property to be 3.3V. Add PULSE voltage source

pulse_v_source and change the value of the pulse_value property to be 3.3V

(25)

25

The test_bench schematic should look similar to the next figure.

(26)

The test_bench schematic should look similar to the next figure.

(27)

26

Simulating Schematic

Simulating the Testbench

Step 1 When you have no errors select the Simulation Button from the right palette to go into design context and simulate our design. Or press on the green icon from the left Icon palette.

Step 2 Click ok when this form appears.

(28)

Step 4 From the right palette select Analyses or select the Setup Analysis icon. This will open a form for you to select the analysis type. Select Transient followed by selecting the Setup button.

(29)

28

Step 7 Now select the IN and OUT terminals by holding down the left mouse button and drawing a box around the terminals while pressing Ctrl. This will cause the wires to be highlighted.

Step 6 Include models from “Lib/Temp/Inc” to tell Eldo where the Eldo models are located, Choose libraries then include files, Navigate to the

(30)

Step 8 While the IN and OUT nets are selected go the Simulation Palette and select:

Wave Outputs > Save or press on the Setup Outputs icon from the Icon Palette. This dialog box will appear. Select Selected Components tab make sure the Plot Items(s) is selected, and click OK.

Step 9 Another window will appear asking about the plot type, select Individually then

(31)

30

Step 10create a netlist by selecting Netlist in the Execute section. This will open a xterm window. Press Return to close the xterm window.

(32)

Step 12The netlist should look similar to this:

(33)

32

Step 14 View the simulation results by selecting the View Waves button under the

(34)

Creating a Layout Cell View

Step 1 To create a layout cell view select the inverter cell and click on File > New > View from the menu bar. A window will pop-up asking for the cell view type. Choose Layout as view type, the view name will be named automatically Layout.

(35)

34

Step 3 By Pressing Finish this will open IC-Station.

Creating SDL

Step 1 Make the Schematic window active by selecting it with the LMB. Press on the

Auto Pick & Place icon from the Icon Bar. The tool will roll on the devices one by one giving you a ghost image in the IC window for the device to be placed.

(36)

You have now instantiated the pfet and nfet and the connectivity is maintained as illustrated by the fly lines. Next you will add ports and complete the routing.

Step 2 With the layout window active, select the Pick Place Ports icon from the icon bar.

Step 3 Select the VDD port and select MET1 as a layer for this port. The Width and

(37)

36

Step 4 Place the rest of the ports.

Step 5 To add the substrate contacts to the mosfets. Choose add advice then select path-based Guard Band select psub.

Step 6 Do the same for but choose nwell instead of psub. It should look like this

VDD

IN

OUT

(38)

Routing Layout

(39)

38

After completion,You should see something similar to the shown below

Step 3 Add text labels to the ports to make them recognizable by LVS by pressing the hot ket l.

VDD

IN

OUT

(40)

This is how the layout will look like

Verification of Layout

Now you can verify the layout by running DRC and LVS checks. we will run Calibre Interactive.

Running Calibre Interactive DRC

(41)

40

Step 2 This will bring up the Calibre Interactive – DRC.

Select Rules button and notice that the rule file is loaded automatically.

Step 3 Select Run DRC.

(42)

Running Calibre Interactive LVS

Step 1 Select Tools > Calibre > Run LVS entry from the pull down menu.

Step 2 The Calibre Interactive – LVS window will popup. Make sure Export from schematic viewer is selected while the Inputs and Netlist tabs are active as shown.

Step 3 Select Run LVS.

(43)

42

This completes the Design Kit User Guide.

Referencias

Documento similar

Thus this study seeks to identify, from a quantitative point of view, the communicative skills of the public biomedical research centres from Castile and León, based on

rous artworks, such as sonorou s poetry; d) instances of nOlHu1islie music, such as sonorous activiti es with soc ial, bu t not necessarily aes thetic, funct ions. Lango

In view of the aforementioned results from the questionnaire, the pre- and posttests can be drawn: virtual game-like applications increase students’ motivation towards

The following subsections explain how to load a trace file into Paraver, open the task events view using an already predefined configuration file, and how to adjust the view to

View publication stats View

This view lets the home automation expert model the catalog of functional units and services that de- velopers will later use to create home automation applications.. Figure

Figure made by the author using Ocean Data View (Schlitzer, R., Ocean Data View, https://odv.awi.de, 2021); Figure S2: Location of ALI5, ALI6 and ALI7 sampling areas from Alicante

It will be thus my objective in the following pages to analyze Mills’s and Rhodes’s texts, from my point of view the best representatives of the literature for children and